溫馨提示×

溫馨提示×

您好,登錄后才能下訂單哦!

密碼登錄×
登錄注冊×
其他方式登錄
點擊 登錄注冊 即表示同意《億速云用戶服務條款》

Modelsim下uvm環(huán)境的搭建

發(fā)布時間:2021-09-01 12:50:16 來源:億速云 閱讀:202 作者:chen 欄目:大數(shù)據

本篇內容介紹了“Modelsim下uvm環(huán)境的搭建”的有關知識,在實際案例的操作過程中,不少人都會遇到這樣的困境,接下來就讓小編帶領大家學習一下如何處理這些情況吧!希望大家仔細閱讀,能夠學有所成!

1.  下載modelsim軟件

下載modelsim,這里用的是modelsim10.4版本。下載地址:https://pan.baidu.com/s/1wnCwlQ2EblCkKHFOM6gEyw 提取碼:772l 。

完成下載和安裝,在安裝文件夾中可以看到uvm-1.1d,這是我們使用的uvm版本。在uvm-1.1d/win64下有uvm_dpi.dll文件,這是已經編譯過的uvm庫。

Modelsim下uvm環(huán)境的搭建

2.  編寫文件

以“hello,world”為例,編寫uvm源文件如下:

`timescale 1ns/1ps

`include "uvm_macros.svh"

import uvm_pkg::*;

module hello;

initial begin

`uvm_info("info", "Hello world!!!", UVM_LOW)

end

endmodule

后編寫一個windows下的執(zhí)行程序:run.bat。

Modelsim下uvm環(huán)境的搭建

其中只有一句話:

Modelsim下uvm環(huán)境的搭建

這個就是運行modelsim腳本文件sim.do。

Sim.do文件內容如下:

set UVM_DPI_HOME E:/modeltech74_10.4/uvm-1.1d/win64

vlib work

vlog -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF hello.sv

vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.hello

run 100

第一句話是設置uvm環(huán)境變量,指定uvm的dpi位置。

第二句話是創(chuàng)建work工作目錄。

第三句話是編譯源文件,并且通過-L指定幾個編譯庫。

第三句是執(zhí)行仿真,調用uvm庫uvm_dpi。

第四句話是運行多長時間:100ns。

3.  執(zhí)行

雙擊run.bat,然后會調用modelsim進行編譯和仿真。

Modelsim下uvm環(huán)境的搭建

Modelsim下uvm環(huán)境的搭建

“Modelsim下uvm環(huán)境的搭建”的內容就介紹到這里了,感謝大家的閱讀。如果想了解更多行業(yè)相關的知識可以關注億速云網站,小編將為大家輸出更多高質量的實用文章!

向AI問一下細節(jié)

免責聲明:本站發(fā)布的內容(圖片、視頻和文字)以原創(chuàng)、轉載和分享為主,文章觀點不代表本網站立場,如果涉及侵權請聯(lián)系站長郵箱:is@yisu.com進行舉報,并提供相關證據,一經查實,將立刻刪除涉嫌侵權內容。

AI