溫馨提示×

您好,登錄后才能下訂單哦!

密碼登錄×
登錄注冊(cè)×
其他方式登錄
點(diǎn)擊 登錄注冊(cè) 即表示同意《億速云用戶服務(wù)條款》

windows下怎樣簡(jiǎn)單搭建Questa的UVM平臺(tái)

發(fā)布時(shí)間:2021-12-10 18:37:07 來(lái)源:億速云 閱讀:180 作者:柒染 欄目:互聯(lián)網(wǎng)科技

本篇文章給大家分享的是有關(guān)windows下怎樣簡(jiǎn)單搭建Questa的UVM平臺(tái),小編覺得挺實(shí)用的,因此分享給大家學(xué)習(xí),希望大家閱讀完這篇文章后可以有所收獲,話不多說,跟著小編一起來(lái)看看吧。

questa 10.6c提供UVM1.1d,UVM1.2的庫(kù),因此不需要再去尋找資源,直接搭建即可。

  1. 編寫sim.do文件

set UVM_DPI_HOME D:/Program/questasim64_10.6c/verilog_src/uvm-1.2/libset UVM_src  D:/Program/questasim64_10.6c/verilog_src/uvm-1.2/srcvlib workvlog +incdir+$UVM_src +incdir+C:/Users/13772/Desktop/UVM_ref -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF  testbench.svvsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi work.top -novoptrun -all

上述代碼中,

  1. 第一行指定了questa下UVM的DPI接口位置

  2. 第二行指定了UVM1.2庫(kù)源代碼的位置

  3. 第三行是創(chuàng)建work工作庫(kù)

  4. 第四行是把自己的代碼和uvm的代碼加進(jìn)去,編譯

  5. 仿真

    2. 寫.bat文件,如下所示:

windows下怎樣簡(jiǎn)單搭建Questa的UVM平臺(tái)

    3.更改modelsim.ini文件,首先去掉其只讀屬性,打開文件,把其中的UVM1.1d更改為1.2。然后再加上只讀屬性。

    4.雙擊run.bat文件,就可以自動(dòng)跑起來(lái)了。

結(jié)果如下所示:

windows下怎樣簡(jiǎn)單搭建Questa的UVM平臺(tái)

以上就是windows下怎樣簡(jiǎn)單搭建Questa的UVM平臺(tái),小編相信有部分知識(shí)點(diǎn)可能是我們?nèi)粘9ぷ鲿?huì)見到或用到的。希望你能通過這篇文章學(xué)到更多知識(shí)。更多詳情敬請(qǐng)關(guān)注億速云行業(yè)資訊頻道。

向AI問一下細(xì)節(jié)

免責(zé)聲明:本站發(fā)布的內(nèi)容(圖片、視頻和文字)以原創(chuàng)、轉(zhuǎn)載和分享為主,文章觀點(diǎn)不代表本網(wǎng)站立場(chǎng),如果涉及侵權(quán)請(qǐng)聯(lián)系站長(zhǎng)郵箱:is@yisu.com進(jìn)行舉報(bào),并提供相關(guān)證據(jù),一經(jīng)查實(shí),將立刻刪除涉嫌侵權(quán)內(nèi)容。

AI