溫馨提示×

溫馨提示×

您好,登錄后才能下訂單哦!

密碼登錄×
登錄注冊×
其他方式登錄
點擊 登錄注冊 即表示同意《億速云用戶服務條款》

如何利用modelsim波形顯示字符

發(fā)布時間:2022-01-06 16:41:01 來源:億速云 閱讀:151 作者:柒染 欄目:互聯(lián)網(wǎng)科技

這篇文章將為大家詳細講解有關如何利用modelsim波形顯示字符,文章內(nèi)容質量較高,因此小編分享給大家做個參考,希望大家閱讀完這篇文章后對相關知識有一定的了解。

這個小工程利用modelsim仿真波形圖案來顯示字符。效果如下,送給正在復習備考的同學,堅持就是勝利:

 如何利用modelsim波形顯示字符

用到的工具如下:

---PCtoLCD2002 : 產(chǎn)生顯示用的字符點陣

---Quartus:代碼編寫,仿真文件生成。

---Modelsim:生成要顯示的字符圖案。

1,字符點陣產(chǎn)生   

PCtoLCD2002端設置如下: 

如何利用modelsim波形顯示字符

生成字符點陣: 

如何利用modelsim波形顯示字符

保持字模后,生成的字符點陣文件如下: 

如何利用modelsim波形顯示字符

 

2,字符點陣數(shù)據(jù)處理  

將字符點陣文件中的提示信息,備注,標點符號等全部刪除,只留下點陣的編碼。并將相鄰奇偶兩行的數(shù)據(jù)調整到一行。效果如下: 

如何利用modelsim波形顯示字符

將處理后的字符文件重命名為  char_code.hex 。 

如何利用modelsim波形顯示字符
 3,代碼編輯  

(1,)字符點陣數(shù)據(jù)儲存

利用BRAM模塊來儲存字符點陣數(shù)據(jù)。利用 readmemh 函數(shù)加載字符點陣數(shù)據(jù)。 

如何利用modelsim波形顯示字符     

(2)波形生成

將從BRAM模塊中讀出的數(shù)據(jù)與時鐘信號按位相與即可。 

如何利用modelsim波形顯示字符
4,仿真  

編寫仿真文件,運行仿真,展開 out 信號,縮放到合適比例,即可看到字符顯示。效果即同文章開頭所示。

【注】本工程是利用quartus設置的仿真環(huán)境,在仿真設置時,最好將 char_code.hex 也添加進仿真文件列表中,仿真仿真軟件找不到該文件。

如何利用modelsim波形顯示字符
如何利用modelsim波形顯示字符

關于如何利用modelsim波形顯示字符就分享到這里了,希望以上內(nèi)容可以對大家有一定的幫助,可以學到更多知識。如果覺得文章不錯,可以把它分享出去讓更多的人看到。

向AI問一下細節(jié)

免責聲明:本站發(fā)布的內(nèi)容(圖片、視頻和文字)以原創(chuàng)、轉載和分享為主,文章觀點不代表本網(wǎng)站立場,如果涉及侵權請聯(lián)系站長郵箱:is@yisu.com進行舉報,并提供相關證據(jù),一經(jīng)查實,將立刻刪除涉嫌侵權內(nèi)容。

AI