溫馨提示×

溫馨提示×

您好,登錄后才能下訂單哦!

密碼登錄×
登錄注冊×
其他方式登錄
點(diǎn)擊 登錄注冊 即表示同意《億速云用戶服務(wù)條款》

FPGA block RAM和distributed RAM有什么區(qū)別

發(fā)布時間:2021-08-18 21:49:27 來源:億速云 閱讀:128 作者:chen 欄目:互聯(lián)網(wǎng)科技

本篇內(nèi)容介紹了“FPGA block RAM和distributed RAM有什么區(qū)別”的有關(guān)知識,在實(shí)際案例的操作過程中,不少人都會遇到這樣的困境,接下來就讓小編帶領(lǐng)大家學(xué)習(xí)一下如何處理這些情況吧!希望大家仔細(xì)閱讀,能夠?qū)W有所成!

區(qū)別之1

bram 的輸出需要時鐘,dram在給出地址后既可輸出數(shù)據(jù)。

區(qū)別之2

dram使用根靈活方便些

區(qū)別之3

bram有較大的存儲空間,dram浪費(fèi)LUT資源

1.物理上看,bram是fpga中定制的ram資源,dram就是用邏輯單元拼出來的。

2.較大的存儲應(yīng)用,建議用bram;零星的小ram,一般就用dram。但這只是個一般原則,具體的使用得看整個設(shè)計(jì)中資源的冗余度和性能要求。

3.dram可以是純組合邏輯,即給出地址馬上出數(shù)據(jù),也可以加上register變成有時鐘的ram。而bram一定是有時鐘的。

4.較大的存儲應(yīng)用,建議用bram;零星的小ram,一般就用dram。但這只是個一般原則,具體的使用得看整個設(shè)計(jì)中資源的冗余度和性能要求。

5.dram可以是純組合邏輯,即給出地址馬上出數(shù)據(jù),也可以加上register變成有時鐘的ram。而bram一定是有時鐘的。

6.如果要產(chǎn)生大的FIFO或timing要求較高,就用BlockRAM。否則,就可以用Distributed RAM。 

“FPGA block RAM和distributed RAM有什么區(qū)別”的內(nèi)容就介紹到這里了,感謝大家的閱讀。如果想了解更多行業(yè)相關(guān)的知識可以關(guān)注億速云網(wǎng)站,小編將為大家輸出更多高質(zhì)量的實(shí)用文章!

向AI問一下細(xì)節(jié)

免責(zé)聲明:本站發(fā)布的內(nèi)容(圖片、視頻和文字)以原創(chuàng)、轉(zhuǎn)載和分享為主,文章觀點(diǎn)不代表本網(wǎng)站立場,如果涉及侵權(quán)請聯(lián)系站長郵箱:is@yisu.com進(jìn)行舉報,并提供相關(guān)證據(jù),一經(jīng)查實(shí),將立刻刪除涉嫌侵權(quán)內(nèi)容。

ram
AI