溫馨提示×

您好,登錄后才能下訂單哦!

密碼登錄×
登錄注冊(cè)×
其他方式登錄
點(diǎn)擊 登錄注冊(cè) 即表示同意《億速云用戶服務(wù)條款》

怎么使用matlab生成sine波coe文件

發(fā)布時(shí)間:2021-12-30 17:20:09 來源:億速云 閱讀:237 作者:小新 欄目:互聯(lián)網(wǎng)科技

小編給大家分享一下怎么使用matlab生成sine波coe文件,相信大部分人都還不怎么了解,因此分享這篇文章給大家參考一下,希望大家閱讀完這篇文章后大有收獲,下面讓我們一起去了解一下吧!

              使用matlab生成sine波coe文件

在xilinx ISE中我們使用ROM經(jīng)常會(huì)用到.coe文件,coe文件為xilinx ROM的初始化文件。

Coe文件舉例說明:

MEMORY_INITIALIZATION_RADIX=10;  %10代表10進(jìn)制  ,2代表2進(jìn)制,8代表8進(jìn)制,16代表16進(jìn)制。

MEMORY_INITIALIZATION_VECTOR=  

2500,

2663,

2826,

2987,

...

...

...

2012,

2173,

2336;

Matlab源碼:

clear all

close all

clc

width =16;

f=1000;

fs=96000;%96KHZ 采樣頻率

N=96;

t=(0:N-1)/fs;

digits(5)

sin0=sin(2*pi*f*t)*3.25+3.25;

fid = fopen('E:\ise_project\audio\coe\sim_rom0.coe','w');%文件存放路徑

fprintf(fid,'MEMORY_INITIALIZATION_RADIX=%d; \n',width);

fprintf(fid,'MEMORY_INITIALIZATION_VECTOR=  \n');  

for(k=1:N-1)  

    fprintf(fid,'%d, \n',sin0(k));

end

fprintf(fid,'%d; \n',sin0(N));%每個(gè)數(shù)據(jù)后面用逗號(hào)或者空格或者換行符隔開,最后一個(gè)數(shù)據(jù)后面加分號(hào)

plot(t,sin0,'*');

結(jié)果:

怎么使用matlab生成sine波coe文件

在FPGA上使用da輸出示波器顯示結(jié)果:

怎么使用matlab生成sine波coe文件以上是“怎么使用matlab生成sine波coe文件”這篇文章的所有內(nèi)容,感謝各位的閱讀!相信大家都有了一定的了解,希望分享的內(nèi)容對(duì)大家有所幫助,如果還想學(xué)習(xí)更多知識(shí),歡迎關(guān)注億速云行業(yè)資訊頻道!

向AI問一下細(xì)節(jié)

免責(zé)聲明:本站發(fā)布的內(nèi)容(圖片、視頻和文字)以原創(chuàng)、轉(zhuǎn)載和分享為主,文章觀點(diǎn)不代表本網(wǎng)站立場(chǎng),如果涉及侵權(quán)請(qǐng)聯(lián)系站長(zhǎng)郵箱:is@yisu.com進(jìn)行舉報(bào),并提供相關(guān)證據(jù),一經(jīng)查實(shí),將立刻刪除涉嫌侵權(quán)內(nèi)容。

AI