#

$readmemb

  • $readmemb和$readmemh

    verilog HDL程序中的兩個系統(tǒng)任務,$readmemb和$readmemh,從文件中讀取數(shù)據(jù)到存儲器。其格式如下:(1)$readmemb("&q

    作者:lihaichuan
    2020-08-02 21:51:31