溫馨提示×

溫馨提示×

您好,登錄后才能下訂單哦!

密碼登錄×
登錄注冊×
其他方式登錄
點(diǎn)擊 登錄注冊 即表示同意《億速云用戶服務(wù)條款》

linux vcs指的是什么

發(fā)布時(shí)間:2023-04-19 10:33:20 來源:億速云 閱讀:113 作者:iii 欄目:建站服務(wù)器

本篇內(nèi)容主要講解“l(fā)inux vcs指的是什么”,感興趣的朋友不妨來看看。本文介紹的方法操作簡單快捷,實(shí)用性強(qiáng)。下面就讓小編來帶大家學(xué)習(xí)“l(fā)inux vcs指的是什么”吧!

linux vcs是編譯型verilog仿真器,是一種用來進(jìn)行仿真看波形的工具;其類似于windows下面的modelsim以及questasim等工具,以及quartus、vivado仿真的操作。

VCS是在linux下面用來進(jìn)行仿真看波形的工具,類似于windows下面的modelsim以及questasim等工具,以及quartus、vivado仿真的操作。

1.vcs的基本指令

vcs的常見指令后綴

指令含義
-l readme.log用于將編譯產(chǎn)生的信息放在log文件內(nèi)
+v2k是使VCS兼容verilog 2001以前的標(biāo)準(zhǔn)
-debug_all用于產(chǎn)生debug所需的文件
-f verilog_file.f可將.f文件里的源碼全部編譯
-R編譯后立即運(yùn)行,即編譯完成后立即執(zhí)行 ./simv
-Mupdate源文件有修改時(shí),只重新編譯有改動的**.v**文件,節(jié)約編譯時(shí)間。
-sverilog打開對Systemverilog的支持,編譯Systemverilog文件時(shí)使用。
-timescale=1ns/1ns設(shè)置仿真精度
-o simv_file編譯默認(rèn)產(chǎn)生的可執(zhí)行文件為simv,可以使用 -o 更改可執(zhí)行文件名。如果這里修改執(zhí)行仿真時(shí)候就不是使用simv而是對應(yīng)的o名字了。

simv的常見指令

指令含義
./simv -l run.log執(zhí)行的日志文件保存
./simv -gui以圖形化界面打開

2.使用vcs的實(shí)例

采用的是全加器的官方教程,首先介紹不使用腳本的執(zhí)行過程。
(1)先執(zhí)行vcs加入對應(yīng)的full_adder文件

vcs -sverilog  -debug_all   -timescale=1ns/1ps  full_adder.v  full_adder_tb.v -l com.log

這里先寫full_adder.v 是因?yàn)?strong>tb文件需要調(diào)用它,所以把被調(diào)用的文件先寫出來,這條語句會生成simv文件(如果使用了-o simv_file會生成對應(yīng)的文件名字)。
(2)可以用./simv文件執(zhí)行波形的界面,也可以采用下面指令以后臺方式打開一個(gè)界面。

dve  &或者

./simv -l run.log -gui

(3)如果以./simv執(zhí)行會直接看到波形文件,如果使用dve & 需要自行加入simv文件來查看波形,選中simulator->Setup,加入生成的simv文件。
linux vcs指的是什么
在下方run all,如果沒有給時(shí)間刻度這里會報(bào)錯。沒有報(bào)錯,則說明我們的功能是完全正確無誤的。右鍵加入波形文件,按f鍵顯示波形。
(4)如下的四個(gè)按鍵作用
第一個(gè): 追蹤誰驅(qū)動該信號 ctrl+d
第二個(gè): 追蹤信號的值變換 ctrl+shift+d
第三個(gè): 追蹤未知態(tài)
第四個(gè): 追蹤用于驅(qū)動誰
linux vcs指的是什么

3.makefile文件的寫法

vcs的腳本寫法可以幫助快遞進(jìn)行仿真得到波形文件。
其中.PHONY可以指定對應(yīng)哪些關(guān)鍵詞進(jìn)行make操作。

.PHONY:vcs sim dve clean 

OUTPUT = adder

VCS = vcs -sverilog +v2k -timescale=1ns/1ns                             \
         -debug_all                                                    \
         -o ${OUTPUT}                                                  \
         -l compile.log                                                \SIM = ./${OUTPUT} -l run.log


vcs:        ${VCS} -f verilog_file.f


sim:        ${SIM}dve:
dve -vpd    vcdplus.vpd &  clean:       rm -rf *.log  csrc  simv*  *.key *.vpd  DVEfiles coverage *.vdb

這樣 make vcs執(zhí)行vcs語句,make sim執(zhí)行simv語句,make dve打開波形文件,make clean清除一些冗余文件。
其中,verilog_file.f是文件的列表,可以采用下面語句生成,這樣將所有以v結(jié)尾的文件名寫到目標(biāo)文件中。

find  -name  ".*v"   > file.list

到此,相信大家對“l(fā)inux vcs指的是什么”有了更深的了解,不妨來實(shí)際操作一番吧!這里是億速云網(wǎng)站,更多相關(guān)內(nèi)容可以進(jìn)入相關(guān)頻道進(jìn)行查詢,關(guān)注我們,繼續(xù)學(xué)習(xí)!

向AI問一下細(xì)節(jié)

免責(zé)聲明:本站發(fā)布的內(nèi)容(圖片、視頻和文字)以原創(chuàng)、轉(zhuǎn)載和分享為主,文章觀點(diǎn)不代表本網(wǎng)站立場,如果涉及侵權(quán)請聯(lián)系站長郵箱:is@yisu.com進(jìn)行舉報(bào),并提供相關(guān)證據(jù),一經(jīng)查實(shí),將立刻刪除涉嫌侵權(quán)內(nèi)容。

AI