您好,登錄后才能下訂單哦!
本篇內(nèi)容介紹了“Linux怎么搭建verilog學(xué)習(xí)環(huán)境”的有關(guān)知識(shí),在實(shí)際案例的操作過程中,不少人都會(huì)遇到這樣的困境,接下來就讓小編帶領(lǐng)大家學(xué)習(xí)一下如何處理這些情況吧!希望大家仔細(xì)閱讀,能夠?qū)W有所成!
- 正式開始 -
▼
-01-
前言
寫這一篇文章的初衷一個(gè)是分享給各位想進(jìn)入IC領(lǐng)域的讀者以及感謝一位貴人。VerilogHDL是國(guó)內(nèi)目前最流行的硬件描述語言。關(guān)于硬件描述語言的問題,這里并不多談,我會(huì)在我的另一篇文章談?wù)撽P(guān)于硬件描述語言,本文獻(xiàn)給那些想學(xué)習(xí)verilog,但是又沒有合適的工具的讀者。本文內(nèi)容部分作者演示,部分來源于網(wǎng)絡(luò)。
-02-
工具篇
這里推薦的工具是iverilog和gtkwave。
linux/ubuntu 下使用命令 sudo apt-get install iverilog 安裝。
其他平臺(tái)可以使用對(duì)應(yīng)的包管理器安裝。
同事iverilog支持windows平臺(tái),可以從http://bleyer.org/icarus/這里下載需要的版本。
安裝是一個(gè)簡(jiǎn)單的過程,相信有l(wèi)inux或者ubuntu使用經(jīng)驗(yàn)的人都很容以完成。
這里使用命令 man iverilog 可以查看使用手冊(cè)。
安裝iverilog會(huì)同時(shí)安裝vvp。
vvp是verilog的方針軟件。
在完成上述步驟之后,繼續(xù)安裝gtkwave。
Linux/Ubuntu平臺(tái)使用sudo apt-get install gtkwave 命令安裝gtkwave。
gtk用來查看仿真波形。
安裝好之后可以使用which命令查看命令所在位置。
-03-
工具使用篇
首先來看一段代碼。這里用一個(gè)簡(jiǎn)單的計(jì)數(shù)器來舉例。
然后寫一個(gè)testbench。
`timescale 1ns/1ns
module和testbench中這個(gè)時(shí)間刻度一定要標(biāo)清楚。
$dumpfile("test.vcd");
$dumpvars(0, test);
這兩行用來產(chǎn)生vcd波形。這個(gè)波形是給gtkwave用的。
準(zhǔn)備好了必要的代碼。
現(xiàn)在準(zhǔn)備使用工具開干吧。
執(zhí)行iverilog命令如 iverilog -o testname count.v tb_cnt.v
按:-o name (name是輸出的文件名,是一個(gè)可執(zhí)行文件,執(zhí)行止嘔胡會(huì)出現(xiàn).vcd波形文件,這個(gè)文件可使用gtkwave查看)
使用gtkwave命令如gtkwave test.vcd
這里需要將左邊的信號(hào)拖動(dòng)到右邊才會(huì)顯示。
相信聰明的你一定可以學(xué)會(huì)的。
說一說相關(guān)的參數(shù)。
iverilog:
-g2012 :使用這個(gè)參數(shù),可以支持部分Systemverilog語法。方便驗(yàn)證。
-o :可以制定輸出文件的名字,否則默認(rèn)是a.out
“Linux怎么搭建verilog學(xué)習(xí)環(huán)境”的內(nèi)容就介紹到這里了,感謝大家的閱讀。如果想了解更多行業(yè)相關(guān)的知識(shí)可以關(guān)注億速云網(wǎng)站,小編將為大家輸出更多高質(zhì)量的實(shí)用文章!
免責(zé)聲明:本站發(fā)布的內(nèi)容(圖片、視頻和文字)以原創(chuàng)、轉(zhuǎn)載和分享為主,文章觀點(diǎn)不代表本網(wǎng)站立場(chǎng),如果涉及侵權(quán)請(qǐng)聯(lián)系站長(zhǎng)郵箱:is@yisu.com進(jìn)行舉報(bào),并提供相關(guān)證據(jù),一經(jīng)查實(shí),將立刻刪除涉嫌侵權(quán)內(nèi)容。