溫馨提示×

溫馨提示×

您好,登錄后才能下訂單哦!

密碼登錄×
登錄注冊×
其他方式登錄
點(diǎn)擊 登錄注冊 即表示同意《億速云用戶服務(wù)條款》

怎么用Allegro繪制PCB

發(fā)布時(shí)間:2021-12-22 09:37:33 來源:億速云 閱讀:306 作者:小新 欄目:互聯(lián)網(wǎng)科技

這篇文章給大家分享的是有關(guān)怎么用Allegro繪制PCB的內(nèi)容。小編覺得挺實(shí)用的,因此分享給大家做個(gè)參考,一起跟隨小編過來看看吧。

在開始使用allegro繪制PCB之前,我們先來了解一下單位換算:

1mil = 0.0254 mm

1mm = 39.3701 mil

默認(rèn)情況下我們更傾向于使用mil單位繪制PCB板。

使用allegro畫PCB的基本流程如下:

1 新建工程,F(xiàn)ile --> New…

–> [Project Directory]顯示工程路徑

–> [Drawing Name]工程名稱,Browse…可選擇工程路徑

–>[Drawing Type]工程類型,繪制PCB板選擇Board,封裝選擇Packagesymbol

怎么用Allegro繪制PCB

2 設(shè)置畫布參數(shù),Setup --> Design Parameters…

–> [Design]

單位為Mils,Size為other,2位精度,

Width與Height分別代表畫布的寬高

LeftX與LowerY代表原點(diǎn)位置坐標(biāo)

點(diǎn)擊Apply使修改生效

–> [Display]

勾選Gridon, 打開SetupGrids…

將Non-Etch和AllEtch中的所有Spacing設(shè)為1mil=0.0254mm

3 設(shè)置庫路徑,Setup --> User Preference…

將所有繪制好的元件封裝復(fù)制到同一目錄下,方便設(shè)置庫目錄,

–> [Paths]

–> [Library]指定modulepathpadpath parampath psmpath到封裝所在目錄

4 繪制板框,Add --> Line

Class:SubClass = Board Geometry:Outline

5 倒角,Manufacture -->Dimimension/Draft --> fillet

倒角半徑(Radius)參考:100mmx100mm板倒角100mil~200mil

分別點(diǎn)擊倒角的兩條邊完成倒角

6 設(shè)置允許布線區(qū),Setup --> Areas --> RouteKeepin

Class:SubClass = Route Keepin:All

一般情況,RouteKeepin距離板框0.2mm(8mil)~0.5mm(20mil)

方法2:使用Z-Copy命令,Edit-Z-Copy

選擇Class:SubClass=RouteKeepin:All,

Size選擇Contract向內(nèi)縮進(jìn),Offset填充20mil,

點(diǎn)擊板框完成復(fù)制,此方法亦使用步驟7

7 設(shè)置允許元件擺放區(qū),Setup --> Areas --> PackageKeepin

Class:SubClass = Package Keepin:All

一般情況,PacakgeKeepin與RouteKeepin大小一致

方法2:使用Z-Copy命令

8 放置機(jī)械安裝孔,Place --> Manual

–> [Advanced Settings] 勾選Library

–> [Placement List]

–> [Mechanical symbols] 選上需要使用的機(jī)械安裝孔,敲坐標(biāo)放置

注:使用“選擇多個(gè)元件,右鍵Align components”對齊元件。

怎么用Allegro繪制PCB

9 設(shè)置層疊結(jié)構(gòu),Setup --> Cross-section

雙層板按默認(rèn)設(shè)置,從上到下依次為:表層空氣,銅走線Top層,玻璃纖維介質(zhì)層,銅走線Bottom層,底層空氣

多層板需要做相關(guān)層添加[FIXME]

10 導(dǎo)入網(wǎng)表, File --> Import -->Logic…

–> [Cadence]

選擇Designentry CIS(Capture),Always,Importdirectory選擇網(wǎng)表文件路徑

導(dǎo)入完成后File–> Viewlog…查看導(dǎo)入錯(cuò)誤信息,確保0 errors,0warnings

11 放置元器件,Place --> QuickPlace…

選擇Placeall components,點(diǎn)擊place完成自動(dòng)放置

檢查Unpalcedsymbol count顯示狀態(tài),確認(rèn)未放置的元件為0

注:有關(guān)元器件突出板框外的KC DRC問題 <— 刪除該DRC

Display --> Waive DRCs --> Waive命令,點(diǎn)擊DRC刪除即可。

12 約束設(shè)置,Setup --> Constraints -->Constraints Manager…

–> [Physical]

–> [Physical Constraint Set]

–> [All Layers]

線寬設(shè)置為>=6mil,添加過孔(小于6的非0值都設(shè)為6或更大)

–> [Net]

–> [All Layers]

電源與地網(wǎng)絡(luò)設(shè)置至少30mil,大功率大電流網(wǎng)絡(luò)也設(shè)置大些

–> [Spacing]

… 設(shè)置線間距、VIA間距等,都至少設(shè)為6mil,6mil是根據(jù)PCB廠家定的

13 布局布線

接插件(如DB9、JTAG接口、電源接口等)放在PCB板周邊;

。。。

布線時(shí)雙擊添加過孔,Options中Act可改變當(dāng)前PCB面,Linewidth設(shè)置線寬;

[Route] --> [PCB Router] --> [Route Automatic…]可自動(dòng)布線;

。。。

14 添加絲印

(1)自動(dòng)添加絲印

Manufacture --> Silkscreen

–> [Layer] Both

–> [Elements] Both

–> [Classes and subclasses]

–> [Package geometry] Silk

–> [Refrence designator] Silk

… 其它選擇None

點(diǎn)擊Silkscreen完成絲印添加

(2)手動(dòng)添加絲印信息

–> Add --> Text

Class:Subclass=Manufacture:AutoSilk_Top

設(shè)置字號(hào)及線寬后輸入文字信息

注:絲印字號(hào)修改,Edit–> Change,F(xiàn)ind中選只Text,

Class:subclass=Manufacture:空

設(shè)置字號(hào)線寬,全選后Done即可

15 添加覆銅,Shape --> Polygon

Class:Subclass=Etch:Top

Option中勾選上CreateDinamic Shape,選擇Assign netname為Gnd網(wǎng)絡(luò)

添加底層覆銅,Class:Subclass=Etch:Bottom

刪除頂層和底層死銅,Shape–> Delete Islands,Delete allon layer

16 查看報(bào)告,Tools --> Quick Reports

至少檢查如下4項(xiàng):

Unconnected Pins Report

Shape Dynamic State

Shape Islands

Design Rules Check Report

17 數(shù)據(jù)庫檢查,Tools --> Database Check

勾選全3項(xiàng),點(diǎn)擊Check檢查,Viewlog查看錯(cuò)誤日志

18 鉆孔文件生成

(1) 鉆孔參數(shù)文件生成,Manufacture–> NC --> NC Parameters

按默認(rèn)設(shè)置,點(diǎn)close后生成nc_param.txt

(2) 鉆孔文件生成,Manufacture–> NC --> NC Drill

如果有盲孔或埋孔,則Drilling中選擇By Layer,否則默認(rèn),

點(diǎn)Drill生成*.drl文件,點(diǎn)擊Viewlog查看鉆孔文件信息

(3) 不規(guī)則孔的鉆孔文件生成,Manufacture–> NC --> NC Route

默認(rèn)設(shè)置,點(diǎn)擊Route生成*.rou文件

(4) 鉆孔表及鉆孔圖的生成,Manufacture–> NC --> Drill Legend

如果有盲孔或埋孔,則Drilling中選擇By Layer,否則默認(rèn)(單位為mil),

點(diǎn)擊OK生成*.dlt文件

19 生成光繪(Gerber)文件

(1) 設(shè)置光繪文件參數(shù),Manufacture–> Artwork

–> [General Parameters]

–> [Device type] Gerber RS274X

–> [OUtput units] Inches

–> [Format]

–> [Integer places] 3

–> [Decimal places] 5

–> [Film Control] 設(shè)置層疊結(jié)構(gòu)(10層)

–>[Available films]

–> [Bottom]

–> ETCH/Bottom

–> PIN/Bottom

–> VIA Class/Bottom

–> [Top]

–> ETCH/Top

–> PIN/Top

–> VIA Class/Top

–> [Pastemask_Bottom]

–> PackageGeometry/Pastemask_Bottom

–>Stack-Up/Pin/Pastemask_Bottom

–>Stack-Up/Via/Pastemask_Bottom

–> [Pastemask_Top]

–> PackageGeometry/Pastemask_Top

–>Stack-Up/Pin/Pastemask_Top

–>Stack-Up/Via/Pastemask_Top

–> [Soldermask_Bottom]

–> Board Geometry/Soldermask_Bottom

–> PackageGeometry/Soldermask_Bottom

–>Stack-Up/Pin/Soldermask_Bottom

–> [Soldermask_Top]

–> BoardGeometry/Soldermask_Top

–> Package Geometry/Soldermask_Top

–>Stack-Up/Pin/Soldermask_Top

–> [Silkscreen_Bottom]

–> BoardGeometry/Silkscreen_Bottom

–> PackageGeometry/Silkscreen_Bottom

–>Manufacture/Autosilk_Bottom

–> [Silkscreen_Top]

–> BoardGeometry/Silkscreen_Top

–> PackageGeometry/Silkscreen_Top

–>Manufacture/Autosilk_Top

–> [Outline]

–> Board Geometry/Outline

–> [Drill]

–> Board Geometry/Outline

–>Manufacture/Nclegend-1-2

選中Checkdatabase before artwork復(fù)選框!

–> [Film options]

–> [Undefined line width]

選中層疊結(jié)構(gòu)中的每一層,都設(shè)置為6mil

–> [Shape bounding box]

選中層疊結(jié)構(gòu)中的每一層,都設(shè)置為100

–> [plot mode]

選中層疊結(jié)構(gòu)中的每一層,無特殊情況都選擇Positive

–> [Vector based pad behavior] 選中每一層都勾選上

點(diǎn)擊OK完成參數(shù)設(shè)置

(2) 生成光繪文件,Manufacture–> Artwork

仔細(xì)檢查層疊結(jié)構(gòu)的設(shè)置,很重要,不能出錯(cuò)!

Select all選擇所有層,確認(rèn)選中Check database before artwork,

執(zhí)行CreateArtwork生成光繪文件,點(diǎn)擊Viewlog查看生成光繪信息,確保沒有任何error!

20 打包Gerber文件給PCB廠商

共14個(gè)文件:10{.art}+ 1{.drl} + 1{.rou} + 2{.txt}

TOP.art

Bottom.art

Pastemask_Top.art

Pastemask_Bottom.art

Soldermask_Top.art

Soldermask_Bottom.art

Silkscreen_Top.art

silkscreen_Bottom.art

Outline.art

Drill.art

art_param.txt

nc_param.txt

*.rou

*-1-2.drl

打包成.rar等壓縮包發(fā)給廠商

感謝各位的閱讀!關(guān)于“怎么用Allegro繪制PCB”這篇文章就分享到這里了,希望以上內(nèi)容可以對大家有一定的幫助,讓大家可以學(xué)到更多知識(shí),如果覺得文章不錯(cuò),可以把它分享出去讓更多的人看到吧!

向AI問一下細(xì)節(jié)

免責(zé)聲明:本站發(fā)布的內(nèi)容(圖片、視頻和文字)以原創(chuàng)、轉(zhuǎn)載和分享為主,文章觀點(diǎn)不代表本網(wǎng)站立場,如果涉及侵權(quán)請聯(lián)系站長郵箱:is@yisu.com進(jìn)行舉報(bào),并提供相關(guān)證據(jù),一經(jīng)查實(shí),將立刻刪除涉嫌侵權(quán)內(nèi)容。

AI